Intel Confirms Nova Lake CPUs For 2026 & “18A” Panther Lake For 2H 2025, 18A HVM Later This Year & 14A With Increased Perf-Per-Watt & Density Scaling

Hassan Mujtaba Comments
Intel's Next-Gen Nova Lake CPU Core Configurations Rumored To Feature Double The P-Cores & E-Cores, Up To 16 P & 32 E Cores 1

Intel's CEO, Lip-Bu Tan, is providing updates on the company's future products including CPUs such as Nova Lake, Panther Lake & the very crucial 18A/14A process nodes.

Intel 18A High-Volume Manufacturing Begins Later This Year With First Product Rolling Out In The Form of Panther Lake In 2H 2025 Followed By Nova Lake In 2026

The Blue Team announced new leadership under CEO, Lip-Bu Tan, a few weeks ago, who has deep experience in the semiconductor industry. In a new letter by the CEO, Lip-Bu highlights various new and existing product lines.

Related Story Intel CEO, Pat Gelsinger, Hands Over The First 18A Panther Lake Sample To Lenovo

The first thing mentioned is the progress report on the 18A process node, which is a very crucial tech for Team Blue. The first major product using this process technology will be the client-aimed Panther Lake series. This lineup will target mobility platforms, and we have already seen the first samples, which should be ready for retail launch in the second half of 2025.

Image Credits: PCGH

For Nova Lake, we are hearing reports that Intel is planning to up the core counts, both P-Cores and E-Cores, by a huge margin. Current rumors suggest up to 16 P-Cores and up to 32 E-Cores & these chips will be coming to both desktops and laptops.

He also reiterated that 18A is looking healthy, something that previous CEO, Pat Gelsinger, also pointed out. 18A is expected to have external customers and will be entering HVM (high-volume manufacturing) by the end of 2025.

One of the first things I did when I joined the company was to better understand the progress of Intel 18A. It is healthy and will enhance our competitiveness in the market. In addition to Panther Lake, we are in our final design phase with early Intel 18A external customer projects and expect to complete our first release to fab manufacturing in the middle of this year. We also continue to advance our roadmap of future nodes as we rebuild process leadership.

We will further enhance our position in the second half of this year with the launch of Panther Lake, our lead product on Intel 18A, followed by Nova Lake in 2026.

As of the end of 2024, a significant majority of our products were manufactured using our Intel 7 process node in Arizona and Israel, we successfully ramped our Intel 4 and Intel 3 process nodes as our first EUV lithography nodes and shifted high-volume production of those nodes to Ireland, and we canceled the productization of our Intel 20A process node to focus efforts on the improved version of the node, Intel 18A, that we expect to put into high-volume production in 2025 with our new client family of products code-named Panther Lake.

Talking about 18A, the new process technology will be adding several new features such as gate-all-around transistors "RibbonFET" and backside power "PowerVia", achieving the first high-volume commercial implementation of these two features. Intel scrapped 20A to focus on 18A and, as such, it will provide improvements in performance per watt and density scaling over Intel 3.

Image Source: Intel

Moving forward, Intel has planned its 14A process node, which is currently in active development and focuses on bringing further performance-per-watt uplifts alongside density scaling versus 18A. The standard 14A node delivers 15% higher perf per watt, while the 14A-E variant adds another 5% on top of that.

As per existing rumors, Intel is evaluating the launch of its Nova Lake CPUs on both external and 14A technologies.

Intel Process Roadmap

Process NameIntel 14A-EIntel 14AIntel 18AIntel 20AIntel 3Intel 4Intel 7Intel 10nm SuperFin
Production202720262H 20241H 20241H 20232H 2022In Volume (Now)In High-Volume (Now)
Perf/Watt (over 10nm ESF)TBATBATBA>20%?18%20%10-15%N/A
EUVTBDHigh-NA EUVYesYesYesYesN/AN/A
Transistor ArchitectureTBDTBDOptimized RibbonFETRibbonFETOptimized FinFETOptimized FinFETOptimized FinFETFinFET
ProductsTBDTBDNova Lake
Panther Lake
Clearwater Forest
Diamond Rapids?
Foundry Partner
Lunar Lake
Arrow Lake
Diamond Rapids?
Granite Rapids
Sierra Forest
Foundry Partner
Meteor Lake
Xe-HPC / Xe-HP?
Alder Lake
Raptor Lake
Sapphire Rapids
Emerald Rapids
Xe-HPG?
Tiger Lake

Intel 18A is our next-generation leading-edge process technology and has been designed to incorporate the first high-volume commercial implementation of two breakthrough technologies: gate-all-around transistors and backside power. RibbonFET, our implementation of a gate-all-around transistor, is designed to deliver faster transistor switching speeds while achieving the same drive current as multiple fins, but in a smaller footprint. PowerVia is our unique industry-first implementation of backside power delivery that is designed to optimize signal transmission by eliminating the need for power routing on the front side of the wafer.

Intel 18A is offered to external foundry customers and is designed to deliver improvements in performance per watt and density scaling over Intel 3. We expect to commence high-volume manufacturing of Panther Lake, our new client family of products and our first processors on Intel 18A, in 2025.

Intel 14A, our third advanced process technology offering to external customers, is in active development with performance-per-watt and density scaling improvements over Intel 18A.

On the Xeon side, Intel will be rolling out its next-gen Clearwater Forest E-Core-only CPUs, which will be their first product to utilize the 18A process technology. This will be the second major product on 18A and will be introduced in the first half of 2026. The CPUs are expected to feature up to 288 E-Cores packaged using Foveros Direct 3D Stacking technology and, based on the images that we've seen, the top SKU will feature five chiplets which include two IO dies and three compute dies.

Nearly three-quarters of the world's primary data center workloads also run on Intel silicon. That said, past strength is not a predictor of future success, and it's clear we need to up our game. It is good to see the new Xeon 6 portfolio starting to close gaps with competition and re-exert Intel's leadership in this important market. We plan to build on this with Clearwater Forest, our first Intel 18A server product, launching in the first half of 2026.

Lastly, Intel is offering an update on its US plans with 18A entering HVM at its Arizona fab later this year. The company also plans to extend its US-based production plans in the future.

Intel has a vitally important role to play in meeting the growing need for advanced semiconductor production, both in the U.S. and abroad. We are excited to begin high volume production on Intel 18A at our newest fab in Arizona later this year and look forward to continued work with the U.S. Administration to strengthen the country's technology and manufacturing leadership. While some companies are returning to the U.S. or investing here for the first time, Intel never left — and we continue to expand our operations.

Intel will host its Vision 2025 event on 31st March so we are going to hear more updates from CEO, Lip-Bu Tan, in a few days.

Deal of the Day